Verilog HDL Simulator Veritak

F.A.Qs
Veritak is shareware. Free Trial 14days.You can use 14 days as trial period with full functionalities. if you continue to use, please purchase license.
Top
Snapshot
Tutorial
Open Sources
F.A.Q.s
Purchase
Support
Download

System Conditions


Recommended System requirement
Version Release Release
3.84F Jun.28.2011 GUI
  • Add additional error message.
3.84E Jun.12.2011 Compiler
  • Add command line option
3.80F Jul.29.2010 Compiler
  • Fixed particular address write failure.
3.80E Jul.19.2010 Compiler
  • Fixed task parameter problem
GUI
  • Improved Internal Error

3.80A May.28.2010 GUI
  • Improved text output performance
  • Improved error msg for concat operation
  • Internal update for sv version..
3.79E May.10.2010 GUI
  • Inhibit adding waveforms in running simulation

Compiler
  • Support binary operator ~^ ^ in const function
  • Fixed multi-array bug in vpi operation
3.79B Apr.06.2010 GUI
  • Add signed scale check box.
Compiler
  • Fixed crash due to empty generate statement
  • Improved error msg for invalid signal
  • Support +:-: for generate statement
  • Fixed inline function width generate statement
  • Fixed module parameter override with inline function
  • Fixed module parameter range override
  • Fixed inline nested function call
  • Fixed real to integer bug
  • Fixed case constant 0/1 expression bug
  • Fixed deassign bug.

3.77E Mar.10.2010 GUI/Compiler
  • Add file extension option for library files.
3.77D Mar.9.2010 GUI
  • Fixed 3.77C bug regarding analog waveform property.
3.77C Mar.8.2010 GUI
  • Improved Analog waveform operability
3.77B Mar.7.2010 Compiler
  • Fixed bug of Altera 9.1 Cyclone3/Stratix3 SDF back annotation bug
GUI
  • Fixed bugs on 3.76A.
3.76A Mar.2.2010 Compiler
  • Support udp under generate statement
  • Fix signed comparison in net.
GUI
  • Analog automatic scale mode applied by default
  • Improved missing bottom waveform
  • Add Scope Tree View to Ctrl-Tab toggle mode
  • Add Sort by Declaration Order in scope tree view.
  • Add "VF" file in file dialog.

3.75A Jan.102010 Compiler

  • Fixed bug implicit wire sensing problem..
  • Fixed bug for signed operation in concatination
  • Improved error message for invalid hierarchy name.

GUI
  • Menu Cascade->Stack
  • Improved analog color handling
Installer
  • Update
3.73D Sep.4.2009 GUI
  • Add Menu of Cascade Waveforms
  • Relaxed constraint of window displacement.
3.73C Sep.1.2009 GUI
  • Addressed to Dual Monitor (Fixed initial placement of window)
  • Fixed initial placement problem in waveform manager.
  • Updated installer
3.73A Aug.8.2009 Compiler
  • Fixed a bug of signed memory operation in procedural expression.
3.72A Aug.5.2009 GUI
  • Add Clipboard Copy( as $dumpvars..)
  • Add BitReverse Menu
  • Add Altera Library path search.
3.71C Jul.7.2009 GUI
  • Addressed to Grouping in Grouping Signal
  • Bug fix for VCD-shift/scaling
  • Improved Group signal display
3.71A Jul.5.2009 GUI
  • Addressed to large VCD files(STACK 40MB)
  • Enabled ModelSim's generated VCD-VCD comparison
3.69C Jun.29.2009 GUI
  • Enable VCD project with comparing VCD waveforms
  • Fixed Save Error with VCD project
  • Add addtional menu adding signals for comparing waveforms.
3.69B Jun.26.2009 GUI
  • Fixed Save Error with VCD project
GUI
  • Add Xilinx Library dialog
  • Change part-select grouping.

3.68B Jun.24.2009 Compiler
  • Addressed $recrem negative delayed reference
3.68A Jun.23.2009 GUI
  • Add more MyColor.
  • Add waveform comparison
  • Add force_textout_transparent option
3.67A Jun.20.2009 GUI
  • Fixed save error
  • Add new sort option
  • Add vcd scale option
3.66A Jun.19.2009 GUI
  • Add right aligned format with scope name on WaveformViewer
  • Add VCD timeshift option on WaveformViewer.
  • Improved timeunit display on WaveformViewer
  • Add registory erase option for WaveformViewer
  • Arranged right click menu on WaveformViewer
  • Improved wildcard search.@

Compiler
  • Improved some of Error Msg@
3.65A May.31.2009 GUI
  • Swapped Waveform Viewer's button image.
3.64A May.30.2009 GUI
  • Improved short duration pluse display

Compiler
  • Fixed a crash of long sensibity of wire statement
3.62A May.2.2009 Compiler
  • Fixed 0 gate delay bug.
  • Improved 0pad for $display
3.61A Apr.29.2009 Compiler
  • Fixed $setuphold bug.
  • Improved Error handling for defparam
  • Improved Error handling for invalid instance
3.60B Apr.24.2009 GUI
  • Improved Help Dialog display in large font environment
Compiler
  • Add error Check for 2001 LRM 10.3.4

3.60A Apr.19.2009 Compiler
  • Fixed $setuphold bug.
3.59C Apr.17.2009 GUI
  • Add some Error Msg.

vpi.dll
  • Fixed $readmemh/b problem.
3.59B Apr.11.2009 GUI
  • Fixed bug for save project on menu (3.59A )
3.59A Apr.7.2009 GUI
  • Delete unnecessary *.vtakdisk.
  • Add Pro-VM license option (for VMware)
3.57A Mar.31.2009 Compiler
  • Fixed crash on null assignment in generate loop
  • Fixed bug of signed wire array.
3.56A Mar.30.2009 Compiler/GUI
  • Fixed bug of memory display in very large design on waveform view
  • Fixed older/older log file problem
  • Fixed crash on empty generate statement.
3.55B Mar.29.2009 Compiler
  • Fixed bug of memory display in trace mode.
Npp++ Plugin 1.04 Mar.29.2009
  • Addressed to NPP5.3.
3.55A Mar.27.2009 Compiler
  • Fixed bug of memory display in large design on waveform view
3.54H Mar.21.2009 Compiler/GUI
  • Update some diagnotics
3.54G Mar.11.2009 Compiler
  • Add severe warning for inline parameter overload
3.54F Mar.8.2009 Compiler
  • Issue Error for duplicate inlined named parameter
GUI
  • Improvement for memory address display range..

Npp++ Plugin 1.03 Jan.25.2008
  • Unicode Addressed Version
3.54E Jan.24.2009 GUI
  • Fixed Float display in VCD
  • Improved Float display
3.54D Jan.12.2009 Compiler
  • Faster Compilation in reduction phase
  • Improvement of Generate Handling.
  • Apply suppress R.T. warning to "beyond index error".

GUI
  • Remove compiler optimization 2.
  • Change default setting of save/restore.
3.54B Jan.3.2009 Compiler
  • Fixed crash on diplaying error message in sdf annotation
3.54A Jan.1.2009 Compiler
  • Add error check for invaild order range declaration
  • Support net real delay
  • Support net real arithmetic operation
  • Bug Fix of bit extension for signed ternary
  • Bug Fix of signed ternary
  • Bug Fix of bit extension for signed comparison
3.53C Dec.17.2008 Support Vista64.

vpi.dll
  • Fix random seed seq.
Compiler
  • Compiled with Large Address
GUI
  • Compiled with Large Address
  • Improvement for Grid line Color

3.53B Dec.4.2008 GUI
  • Improvement of Decument view's resizing
  • Improvement of "Wild search Dialog"
  • Bug Fix of grid scale
  • Add bit/part select of grouping
3.53A Nov.26.2008 GUI
  • Improvement of "Wild search"
  • Minor improvement of waveform manager
  • Save maker enable mode in project
  • Save magnify mode in project
  • Improvement of disable range option
3.52C Nov.15.2008 Compiler
  • Fix "can not do step operation in large design" (3.48A-)
3.52B Nov.15.2008 Compiler
  • Fix always @* in large circuit
  • Improve parsing in specify section
3.52A Nov.13.2008 Compiler
  • Fix always @* with task call
  • Support specparam in specify section (Parse Only)
3.51C Nov.12.2008 GUI
  • Add SHIFT + Right for zooming out center portion of waveformview.

Compiler
  • Add support for specparam for out of specify section.
3.51A Oct.23.2008 Compiler
  • Fixed real valus's display for 64bit integer in system task
  • Add support for $finish(0/1)
  • Support parse for specparam( just ignored)
  • Handle only one module for duplicate top module with warnings
GUI
  • Add drag& dtop style zoom
  • Add Center mode in zoom mode
  • Correct caption for zoom mode
  • Improved parsing for console jump function
3.50B Oct.5.2008 Compiler
  • Fix `define TIMESCALE `timescale ..
  • Add additional information for some error messages
3.50A Sep.2.2008 Compiler
  • Add lineno for EC2619 Error.
GUI
  • Add Radix Octal for WaveformViewer
  • Improved memory usage

3.49G Sep.1.2008 Compiler
  • Add lineno on truncated constant.
GUI
  • Fixed crash on new project dialog.
  • Addressed to file name on space include at opening.editor
3.49D Aug.27.2008 Compiler
  • Fixed Crash on library compilation in parse
  • Fixed %d display with suffix
  • Fixed dangling character in editor tool-tip
3.49C Aug.20.208 Compiler
  • Fixed Compile Error for Xilinx Async Fifo library
  • Minor Improvements for compile message
vpi.dll
  • Enhanced display buffer

3.49B Aug.6.2008
  • Fixed crash on enabled option of memory value displayed
NppVeritakPluigin102.dll Aug.4.2008
  • Addresses to Notepad++5.03
3.49A Aug.4.2008 Compiler
  • Fixed bug of unsized constant operation
  • Fixed crash on $feof

3.48A Jul.26.2008 GUI
  • Delete internal signals in scope tree view
  • Minor Improvement of left pain of Waveform View
  • Add "Force List Ctrl Text" otpion in Color setting Dialog
  • Longer stay time of Tool tip in list of waveform view
3.47B Jul.17.2008 Compiler
  • Fix udp init bug
3.47A Jul.8.2008 Compiler
  • Fix == / != issue with zx handling
  • Fix ~ expression width
  • Fix binary operator with zx handling
  • Break 32KB command-line restriction using veritak_src_files.txt
  • Clear registory by unstallation
3.46C Jul.2.2008 Compiler
  • Fix 3.44A- issue ( memory word string display(EC=854))
3.46B Jul.1.2008 Compiler
  • 3.46A- improvement of compilation time on regression mode
3.46A Jul.1.2008 Compiler
  • Fix always @(*) bug.
  • Fix bug of signed div operation on Fast Mode
GUI
  • Fix Run-Length bug
  • Change time display in console
  • Add overall waveform view search in wildcard search dialog
  • Add Key short-cuts in waveform view

3.45C Jun.14.2008 GUI
  • Improvement of selecting items on list view (prevent multi-selecting items in operating waveform view)

Compiler
  • Add several Error Messages regarding Verilog2001 LRM 10.3.4
3.45B Jun.12.2008 GUI
  • Minor Improvement of Clip board COPY with Shift +Left Click on T1
3.45A Jun.12.2008 GUI
  • Add Node-Locked License Dialog
  • Add Clip-board Copy with Shift+ Left Click on T1 cursor
  • Minor Improvement for printer dialog
Compiler
  • Add Lint rule out option for library files

3.44C Jun.6.2008 Compiler
  • Fix bug of Fast Mode on wrong propagation
GUI
  • Minor Improvement of warning of timescale

3.44B Jun.5.2008 GUI
  • Improvement of VCD Scope View
  • Fix crash of vcd project on certain operation
3.44A Jun.5.2008 Compiler
  • Fix $random seed problem
  • Apply memory with arguments for VPI argument
  • Fix bit of memory propagation

GUI
  • Fix "&" character missing in waveform View
3.43M May.16.2008 vpi.dll
  • Add $value$plusargs extention "%x"
3.43L Apr.25.2008 linsysvpi.dll
  • Updated Contribution (Faster)
3.43K Apr.23.2008
  • Fix always @* sense in named block
3.43J Apr.21.2008 3.41I
  • Fix wrong package installation
3.43I Apr.20.2008 linsysvpi.dll
  • Fixed timescale issue.
3.43H Apr.16.2008 Compiler
  • Fixed crash in constant function for invalid parameter
  • Add quiet compile mode option ( "--q " ) in command line (See release_note.txt in Command folder)
3.43G Apr.10.2008 Compiler
  • Fixed $sscanf problem
GUI
  • Improved ending waveform
  • Improved time display

3.43F Mar.21.2008 Compiler
  • Fixed negative delayed scheduling.
3.43E Mar.20.2008 Compiler
  • Fixed bug logical OR with constant folding on Fast mode
GUI
  • Config. Dialog improvement.

3.43D Mar.13.2008 Compiler
  • Fixed memory leak bug( 3.41J-)

3.43C Mar.12.2008 GUI
  • Fixed comma bug.
3.43B Mar.11.2008 GUI
  • Add comma on timescale in waveformview.
3.43A Mar.1.2008 GUI
  • Fixed crash when enum parameter defined and removed.
  • Add Notepad++ Plugin Interface
3.42A Jan.23.2008 Compiler
  • Add duplicated declaration check for net and parameter
GUI
  • Add "sync waveforms" in project settings

3.41K Jan.20.2008 Compiler
  • Fix "contains infinate loops" Error
  • Skip "`uselib"
3.41J Dec.19.2007 Compiler
  • Fix a bug defparam under generate statement
3.41I Dec.6.2007 Compiler
  • Fix part select /bit select in constant function
  • Fix case evaluation in string
  • Preprocessor timeout 29sec->60sec
  • Preprocessor domain 50MB->100MB

3.41F Nov.20.2007 Compiler
  • Fix EC796 Error
  • Support for 3dimensional wire array on tree view
  • Bug fix Lint for genvar bit width
GUI
  • Change menu display for "Clear all check boxes for lower.."
3.41E Oct.30.2007 GUI
  • Add a menu of "Clear all check boxes for lower levels of hierarchy"
    and a "Set all Lower Level Hierarchy Check Boxes" in scope tree view.
  • Minor improvement for 64bit float display
3.41D Oct.25.2007 GUI
  • Fixed Font issue on Document View.
3.41C Oct.24.2007 Compiler
  • Fixed EC1569 Internal Error
3.41B Oct.23.2007 GUI
  • Add linsys.dll
  • Add 64bit vector to floating radix

3.40A Oct.16.2007 Compiler
  • Fixed signed shift problem.

Installer
  • Updated
3.39E Sep.6.2007 Compiler
  • Fixed genvar problem with the same identifier variable

GUI
  • Improvement " click in cosole" function with waveformview manager.
3.39D Aug.30.2007 GUI
  • Improvement " click in cosole" function
Compiler
  • Change parameter evaluation sequence in function

3.39C Aug.29.2007 GUI
  • Change the GUI spec "set cursor from GUI"
VPI.DLL
  • Add an option for $rungekutta.

3.39B Aug.24.2007 Compiler
  • Fixed bug in some constant function
3.39A Aug.22.2007 Compiler
  • Fixed iodelay.v in unisim library

GUI
  • Minor improvment of line color
  • Add user suggested function for "cursor-$display synchronization"
3.38H Aug.11.2007 Compiler
  • Fix bug parameter real->integer conversion
  • Fix bug parameter div operation with real and integer
  • Issue error when duplicated Verilog2001 port declaration is detected
  • Accept Null port in module array
  • Accept duplicate Scope declaration in generate
  • Accept Null statement in generate (2001 LRM Extension)
  • Fix crash for invalid net concat.
  • Fix " define from command line
  • Add sdf support for Actel
GUI
  • Improvement of breakpoint

3.38G Aug.4.2007 GUI
  • Fix slow down issue when no saved with waveformview
  • Improvement for $conv_hex2_veri.
  • Open Veripad by pressing Edit button for -Define/+plus args
Simulation Engine
  • .Enable suppress R.T. warning for SDF timing checks.
3.38F Jul.29.2007 Compiler
  • Fix parser bug (ISE 9.2 compile error)
  • Add support for MIF File(Memory Initialization File)
GUI
  • Improve duplicate opening issue (veripad)
  • Add new function (Edit "veritak_src_files.txt")
  • Add short cut menu on Veripad (Save->Import "veritak_src_files.txt" ->Reload project->Run( Ctrl-T)

3.38E Jul.16.2007 GUI
  • Improvement of multiple Waveform View
3.37A Jul.7.2007 Compiler
  • Fixed a bug (In always @*, memory output would not become x when address is x..)
GUI
  • Minor improvement for waveformview manager

3.36E May.30.3007 Compiler
  • Fix a bug on Waveform View on selective save option
  • Improve warning message when different number of pins between instantiating and instantiated module is detected
GUI
  • .Fix crash when small size of vcd is loaded on use disk option

3.36D May.24.2007 GUI
  • Minor improvement of waveform view's comment
Compiler
  • Fixed a bug compiler crashes when LHS assignment width has lesser RHS's in some condition.

3.36C May.24.2007 GUI
  • Fix a problem 3.36A- ( Can't add file in Project Edit Dialog)
3.36B May.23.2007 Compiler
  • Improvement for detecting invalid concatenation statements

GUI
  • Minor improvement for waveform comments
3.36A May.20.2007 GUI
  • Fix memory shortage error.
  • Improvement D&D in text comment on waveformview
  • Add arrow function.
3.35E May.19.2007 GUI
  • Add automatic grouping function for VCD
3.35D May.18.2007 GUI
  • Address to timescale of "100fs" for waveform viewer
  • Fix wrong warning for "different timescale..." for vcd project
3.35C May.16.2007 Compiler
  • Quit wrong warning message.
3.35B May.13.2007 Compiler
  • Change Library Compilation order (First defined library to first)
GUI
  • Waveform view text-comment bug fix
  • Fix document view lost problem

3.35A May.9.2006 GUI
  • Support comments on Waveform View
  • Improvement of meta-copy of waveform view
  • Project Name Display on File Dailog
3.34H May.2.2007 GUI
  • Add "move up" button in waveform manager
Compiler
  • Support return value on exit in command line.(See release_note.txt in "command" folder.)
3.34G May.1.2007 GUI
  • Add Font setting option for compiler status
  • Add up/down menu in waveform manager
  • Address Zorder in waveform views to waveform manager
  • Add "Name Line" in listview's right click menu
  • Add "New group with name " in listview's right click menu.
3.34F Apr.262006 Simulation Engine
  • Fix optimization bug
GUI
  • Enabled do file menu

3.34D Apr.19.2007 GUI
  • Change capture object of waveform view ( Top view in Z order) .
3.34C Apr.19.2007 GUI
  • Enabled project with VCD(minor improvement)
3.34B Apr.18.2007 Compiler
  • Fix UDP compilation error in library folder (3.32B-)
GUI
  • Enabled project with VCD

3.34A Apr.14.2007 GUI
  • Address to "VCD project"
  • Change cache algorithm of waveformview for very large capacity
  • Fixed bug of VCD view in some case
  • Add "sort by signal name" optioin
  • SlowTransitioSlope->indivisual view
  • Add EMF FILE copy on waveform view
  • Add some options to color setting dialog
3.33A Apr.9.2007 GUI
  • Add an option for "suppress run time warning"
  • Add an option "slow transition slope" for waveform view
  • Add support of meta-copy of waveform view

Simulation Engine
  • Abolish cpu time report
  • Address to "suppress run time warning"
3.32E Apr.7.2007 GUI
  • WaveformView :Bus transition Dipslay change
  • Fixed a problem (can not start up) :3.31A-
3.32D Apr.2.2007 Simulation Engine
  • Library File Case sensitive ->not case sensitive
  • Fix a problem (can not use veripad in some including file)
3.32C Mar.31.2007 Simulation Engine
  • Bug fix for 3.32B (parameter)
3.32B Mar.30.2006 GUI
  • Fixed compilation time display failure

Simulation Engine
  • Change library compilation method
  • Add SDF range [ :] support
  • Bug fixed parameter offset
  • Fix invalid character in $fopen

3.32A Mar.25.2007 GUI
  • Fixed crash on resizing empty waveform view
  • Add shortcut menu for checking all boxes in scope view
  • Enable Xilinx SDF option
  • Change display for compile time/simulation time
Simulation Engine
  • Bug Fix for sscanf/fscanf in string includuing "_"
  • Bug Fix for SDF for minus hold/setup
  • Add support SDF Removal
  • Bug Fix SDF Recovery
  • Add support SDF Recrem

3.31A Mar.20.2007 GUI
  • Full path display for recent used file path
  • Enum in waveform view improvement
  • Bit Edge Detection bug fix
  • Spelling correction
  • Add new option (Select Save)
  • Abolish "use library.txt "
Simulation Engine
  • Address to "Select Save"
  • Change Library Compilation method
  • Speed up Debug Compilation speed
  • Address to very large test vector
  • Improvements of thread memory allocation

3.30A Mar.8.2007 Simulator Engine
  • Bug Fix "%c" in some case
  • Bug Fix for hierarchy parameter in block scope
  • Add support for enum display in block scope
  • Add another option for thread sequence
GUI
  • Add project option for thread sequence

3.29D Feb.28.2007 Compiler
  • Enable "Define" settings in Project to library files.
3.29C Feb.27.2007 Compiler
  • Fix crash problem in certain repeat expression(parameter) on concat.
3.29B Feb.23.2006 GUI
  • Add "source protect" option
  • Improvement for stability when existing
  • Fix the problem for waveform manager (does not show up )
Simulation Engine
  • Fix net infinite loop-> issue warning
  • Fix always @* sensing problem for memory bit index
  • Add support inline assignment for generate block

3.28B Feb.5.2007 Simulation Engine
  • Fix crash on constant function in inline parameter
  • Fix crash on save/restore
  • Fix DCM phase shift discrepancy problem

GUI
  • Fix Scope Tree View's odd behavior
  • Add export/import function to color settings dialog
  • Improvement of file dialog
  • Improvement of tool-tip
  • NBA option default :"on" Fast mode -> "off"
3.26B Jan.19.2007 Vista Addressed Beta2 Version
Simulation Engine
  • Gate array declaration bug fix (3.26A-)
  • Apply constant folding for if statement

GUI
  • New tool bar implementation
3.26A Jan.11.2007 Vista Addressed Beta1 Version
Simulation Engine
  • trireg support
  • `default_nettype trireg support
  • $monitor("%v") support
  • net delay strength propagation support
  • cmos/rcmos support
  • buf/not range declaration support
  • bugfix $display("%v");
  • bugfix tranxx
  • bugfix strength calculation
  • bugfix if(const)
GUI
  • XP/2000 Improvement of tooltip
  • Single File Dialog invoke folder improvement

3.25B Jan.1.2007 Vista Addresed Beta Version
3.24F Dec.31.2006 GUI
  • Improvement of tooltip in listview
3.24D Dec.30.2006 VPI
  • Fix bug of folder path(3.24A-)
GUI
  • Improvement for folder path in D&Drop.

3.24C Dec.29.2006 Simulation Engine
  • Fix crash always @*
  • Fix Display problem in $write("%c") ( 3.24A-)
3.24B Dec.17.2006 Simulation Engine
  • Address to Coregen Compile Error (Conflicting Scope)

GUI
  • Add tooltip for Scope on left pain in waveform view
3.24A Dec.14.2006 Simulation Engine
  • Change unit of"$fwrtite("%u")  from 8bit to 32bit
GUI
  • Add Enable [%u} unit =byte option;
3.23E Dec.5.2006 Simulation Engine
  • Change "generate if" spec. to address to ieee1800-2005
  • Improvement of compiler for invalid scope/net

GUI
  • Improvement for assertion error.
3.23D Nov.30.2006 Simulation Engine
  • Improvment of error message for none-existing signal
3.23C Nov.14.2006 Simulation Engine
  • Address to stratixii_atoms.v 6.0
3.23A Oct.30.2006 Simulation Engine
  • Change net delay :inertial delay->transport delay
  • Fix always :@* in memory
  • Fix memory port problem
  • Fix crash save/restore

GUI
  • Improvement onthefly view
  • Change Error Message
3.22A Oct.26.2006 Simulation Engine
  • memory port bug fix (in case of large width of port)
  • issue cbEndofSimulation when terminates
GUI
  • Add comandline option -d xx.dll -Topmodule module_name
  • Change way of terminating engine thread
VPI
  • Add private function

3.21F Oct.21.2006 Simulation Engine
  • Change constant port-always *:@ sequence.
3.21E Oct.20.2006 GUI
  • Improvement of Entire View
3.21D Oct.19.2006 Simulation Engine
  • Fixed force/release bug in concat operation.

GUI
  • Change Entire View (Toggle SW Entire View/Last View)
3.21C Oct.18.2006 Simulation Engine
  • Fixed casex bug
3.21B Oct.12.2006 Simulation Engine
  • Support for repeat expression <= repeat (expr) event_control
  • Support for repeat expression = repeat(expr) event control
  • Fix real operator problem(can not compile)  with ternary expression
3.21A Oct.10.2006 GUI
  • Improvement of WaveformViewManager
3.20A Oct.6.2006 Simulation Engine
  • Place cbReadWriteSynch in post-NBA region
Preprocessor
  • Fix syntax error for NULL file
GUI
  • Change use waveform manager mode (does not lose signal format when waveform is not displayed.)
  • Bug fix for clipboard copy for scoped name on waveformview
  • Add event signal in scope view
3.19A Oct.3.2006 Simulation Engine
  • Bug Fix for parameter assignment(Ver 3.17A-)
GUI
  • Improvement for FileDialog
3.18A Oct.1.2006 Simulation Engine
  • Bug Fix for memory leak in Function/Task Call(Ver.3.16-)
  • Bug Fix for crash of module array
  • Enabled command line
  • Change preprocessor IF
  • Implementation of vpiPort
  • Compile by top module
  • Change cbReadWriteSynch
  • Add unique callback of cbReadWriteNBA_veritak
  • Implementation of tf_getnextlongtime
Preprocessor
  • Change IF (Address to multiple run)
GUI
  • Add clipboard copy for SCOPE name on viewer
  • Recent History@Sort
  • Add top module in Edit of Project
.3.17A Sep.17.2006 GUI
  • Support save/restore
  • Change GUI for waveform manager
  • Change sort method for scope tree view
  • Add support for run-length on normal mode
Simulation Engine
  • Support save/restore
  • Support 3variables delay NET/Gate
  • Fix bug of $unsigned/$singed
  • Fix bug of parameter conflict problem
Installer
  • Regist install folder information

3.16B Sep.7.2006 GUI
  • Fixed bug for "can not open include.. " at single file compilation
  • Fixed bug for "not restore size/pos of waveformview"
3.16A Sep.6.2006 GUI
  • Fixed bug for "can not open include.. " at single file compilation
  • Changed Dialog for CPU ID
  • Save TAB character as tab in console save
  • Add Right Click Menu at Console Edit operation
Simulation Engine
  • Fixed bug of bit width evaluation for constant net
  • Changed sequence at constant net propagation

3.15C Aug.31.2006 GUI
  • Support for equal width font on console
  • Support saving console window as text
3.15B Aug.31.2006 GUI
  • Close project waveform windows when opening single file to avoid miss-saving.
3.15A Aug.29.2006 Simulation Engine
  • Constant comparison bug fix
3.14C Aug.28.2006 GUI
  • Restore WaveformView size (bug from Version 3.11A)
  • Minor bug fix for project setting cursor mode
3.14B Aug.25.2005 Simulation Engine
  • Comparison between (Constant(Left) and NET(Right) bug ( since version 3.0-) fix
  • Constant or operation bug fix
  • Add support $ferror
  • Add support $test$plusargs in Net
GUI
  • Improvement of WaveformViewManager
  • Change thread end-seq.
vpi.dll
  • Add file location message with instance name in system task
  • Return 0 if $fopen fails

3.13A Aug.23.2006 GUI
  • Improvement of WaveformViewManager
Simulation Engine
  • Bug fix of real to vector conversion with function call

3.12A Aug.22.2006 GUI
  • Improvement of WaveformViewManager
  • Minor Improvement of GUI

311B Aug.17.2006 GUI
  • Improvement of WaveformViewManager
3.11A Aug.16.2006 GUI
  • Fix bug of single bit value in left pain form 3.09A
  • Improvement on position in Drag and Drop
  • Project Edit "Delete"-> "Cut" (copy to clipboard)
  • Add project option "shade"
  • Add multi-view manager

Preprocessor
  • Fix a corner case bug
3.10B Aug.11.2006 GUI
  • Resotre console thread priority as 3.08A.
3.10A Aug.11.2006 GUI
  • Fix bug of Project Edit of 3.09A
3.09A Aug.10.2006 GUI
  • Changed internal design of structure in Waveform View
  • Add "Use Disk" option as 64bit addressed view
  • Add support for CTRL-TAB to move windows
  • Add project option "Inhibit open veripad by $stop/step.
  • Improvement of Enum Function
    • HDL source :Absolute Path ->Relative Path
    • Spelling correction
    • ??? -> HEX display
  • Update Window after $stop
  • Improvement of Project Edit (Include/LIB/Define)
  • Apply Recent Files Display ; .vtakprj and .vcd
3.08A Jul.30.2006 Simulation Engine
  • Fix bug of self determined bit extension in net multiply operation
3.07A Jul.29.2006 Simulation Engine
  • Fix constant z/x bug in procedural expression
GUI
  • Change Z color in bus

3.06A Jul.27.2006 Simulation Engine
  • Fix crash at force statement in task/function
  • Fix reference problem to function/task in generated for loop label
  • Fix crash at parse analysis at invalid genvar in generated for loop reference
GUI
  • Minor improvement for moving signals in left pain.
  • Minor improvement for views pop up
  • Add key short-cuts insert/"E"->insert Delete/"D" ->delete "C"->copy "V"->paste
3.05A Jul.25.2006 GUI
  • Minor improvement of Color setting dialog
Simulation Engine/vpi.dll

  • Add support of some vpi functions

3.04A Jul.20.2006 Preprocessor
  • Fix a problem of preprocessing
GUI
  • Fix a problem regarding read of VCD

3.03C Jul.16.2006 GUI
  • Change Z color for bus signal

Simulation Engine
  • Fixed bug net signed operation Verilog 2001
3.03A Jul.14.2006 vpi.dll
  • Fixed bug of $display("%ds"); with explicit field declaration and leading space.
Simulation Engine
  • Correct Warning message for $sscanf..

3.02A Jul.13.2006 Simulation Engine
  • Fixed bug of part selected parameter with non-explicit range declaration
  • Add support tf_finish,tf_stop
  • Fixed bug of floating operation
  • Fixed bug more than 33bit unary sign extension
  • Changed UDP lexor
  • Spelling correction
3.00A Jun.30.2006 GUI
  • Add Fast Switch
Preprocessor
  • Allowable nest level changed 10->100
  • Fixed bug of multi-lined define
  • Fixed bug of `define in case of including ";" character
  • Fixed bug of line display in "throughout define" in case of "include"
Simulation Engine
  • Add Fast mode
  • Fixed bug of self determined sign
  • Fixed bug of SDF (version 2.15-)

2.16A Jun.17.2006 Simulation Engine/vpi.dll
  • Support minimum set of VPI
2.15A Jun.12.2006 Preprocessor
  • Fix bug of `ifdef/`else when including line comment used with `compiler directive
Simulation Engine
  • Change 0'bx operation as not compile error
GUI
  • Minor improvement.
2.14B Jun.1.2006 Simulation Engine
  • Fix bug of net multipiler in 2.14A
2.14A Jun.1.2006 Simulation Engine
  • Fix $time with some arithmatic operations in monitor/fmonitor operation
  • Support $time in net operations
2.13A May.31.2006 GUI
  • Fix start up problem with command line for VHDL translator
  • Fix start up problem with Drag& Drop
  • Fix onthefly waveform view using Dual or HT CPU
Simulation Engine
  • Make explicit error message for 0'bxx
  • Address to $display("%d",$time),larger 32bit size.
2.12A May.15.2006 Simulation Engine
  • Fix bug of constant function under Generate
  • Add support of event variable under Generate
  • Add support of force/release under Generate
  • Fix bug force/release under @*
  • Fix start up problem when veritakwin.exe is in the same folder as source file..

GUI
  • Change Color if single bit has "X/Z" in vector signals
2.11B May.7.2006 Simulation Engine
  • Improvement of Scope Tree View for module array display
  • Fixed bug of macro for exponential form
  • Fixed memory leak for fork/join
GUI
  • Add X color in color setting dialog
  • Address to folder including "space" in d&d operation
  • Improvement of error message for memory allocation error
VHDL translator
  • Minor improvement

2.09A Apr.7.2006 Simulation Engine
  • Fixed Bug of SDF (2.05A-)
  • Change method of delay calculation
GUI
  • Improvement Run-Length Operation
2.08A Mar.25.2006 Simulation Engine
  • Fix 2.07A problem (Runtim Ther-check error)
2.07A Mar.19.2007 GUI
  • Fixed Bug (Crash after invoking VHDL translator at 2.06)
  • Fixed Bug (Drag & Drop VCD crash from 2.0)
  • VCD display improvement
Simulation Engine
  • Fixed EC796 Error ( Error => Warning)

2.06A Mar.8.2006 Installer
  • Register as common item.

GUI
  • Improvement stability when CPU load is high.
Simulation Engine
  • Change Power on Seq (Always Comb->propagation->other always->initial)

2.05A Mar.6.2006 Simulation Engine
  • Change Power on Seq ( Always->propagation->initial)
  • Change SDF delay mode (Inertial ->Transport) in IO path
GUI
  • Fix bug of Save button
2.04A Mar.2.2006 Simulation Engine
  • Fixed Read Constant delay subtraction bug
  • Change inertial delay to transport delay in SDF port Delay

GUI
  • Fixed command parameter bug in 2.03(Cause crash)
  • Add EX/IN button in project edit
2.03B Feb.27.2006 GUI
  • Fixed Bug of 2.03A
2.03A Feb.25.2006 Simulation Engine
  • Add save/restore function
  • Address to larger design size (Stack 1MB->100MB)
  • Fix concat 0 length expression
  • Add verilog 2001 implicit net at continuous assign
  • Fix generate bug (parameter override)
  • Fix assign/deassign bug under generate
  • Address to vpi cbStartOfSave/cbStartOfRestart
GUI
  • Add save/restore function
  • Address to larger design size (Stack 1MB->100MB)
  • Change shared file structure
  • Add Multiple steps value Dialog
  • Add X dot color
math_vpi=> vpi.dll
2.00A Jan.27.2006 Simulation Engine
  • Add Error display when duplicate scope name is detected.
  • Support input a; real a; in task/function declaration
1.99A Jan.19.2006 Simulation Engine
  • Fixed concat expression bug  when x-constant is included
1.98A Jan.18.2006 Simulation Engine
  • Add Error Display for invalid genvar/constant function
  • Remove unnecessary lint warning for hierarchy name's backward declaration
1.97A Jan.5.2006 GUI
  • Fixed Bug of tool-tip (1.95A-)
Simulation Engine
  • Fixed Bug of module array (1.82A-)

1.96A Dec.23.2005 Simulation Engine
  • Change Error->Warning (#of pins of signal is not matched with #of pins of the gates)
1.95A Dec.22.2005 Simulation Engine
  • Parser supports scalared/vectored
  • Add supports real comparison in parameter
  • Add supports generated index in hierarchy reference
  • Bug Fix of  wrong file reference in trace mode ( Ver 1.7x-)
1.94A Dec.17.2005 GUI - minor improvement of GUI
  • Project Edit improvement in include_dir setting
  • Improvement of "Arrange Waveform Views"
  • Installed Package :Add HCA script coding style
  • First Chinese Version
1.93A Dec.12.2005 GUI
  • Add Host ID Dialog
  • DLL support for VPI
  • Fix check-box bug on Project Setting
Simulation Engine
  • Limited Support of VPI
1.92A Dec.4.2005 Simulation Engine
  • Chinese Implementation(GB2312)
  • Fixed bug of ESCAPE SEQ.
  • $fopen : change file search
VPI.dll
  • Fixed bug of strength (-1.89A)
  • address to $sformat %v;
GUI
  • Improvement for wildcard search

1.91A Dec.3.2005 Preprocessor
  • Register some implicit macros
GUI
  • Add wildcard search in scope tree view's right pain
  • Chinese Implementation(Interim, GB2312)
1.90A Nov.28.2005 Simulation Engine/Preprocessor
  • Support 'resetall
1.89A Nov.23.2005
  • Fixed bug of bit length evaluation in parameter
  • Fixed bug of signed operation in parameter
  • Fixed bug of indexed/partselect in system task/function
  • Fixed bug of signed operation in parameter
  • Fixed bug 1.86A-compiler crash
Preprocessor
  • Undefined macro : Warning -> Error
1.88B Nov.19.2005 Simulation Engine
  • 1.88A minor performance improvement
1.88A Nov.18.2005 Simulation Engine
  • Fix bug of bit length evaluation in calling expression-Function/Task
1.87A Nov.17.2005 Simulation Engine
  • Fix bug of tran
  • Fix bug of net delay
  • EC=2869 Error =>Warning ( Too many include files) 
Preprocessor
  • Support Define Replacement in Project File
1.86A Nov.14.2005 vpi.dll
  • Support additional parameter of $fread(mem,fp,start,count);
  • Fix spelling (opend->opened)

Simulation Engine
  • Fix bug of bit length evaluation in calling expression-function
  • Fix bug of signed operation in calling expressions-function
1.85A Nov.13.2005 Simulation Engine
  • Fixed bug of conversion bit constant to real constant
  • Address to Define Propagation throughout Project
  • Generate "library_files.txt" for faster compilation
  • Fixed bug of \\" in string ( Changed Lexor/Preprocessor)
  • Add $test$plusarg/$value$plusarg
GUI
  • Add option "on the fly update"
  • Add option selecting Define Propagation, per file/throughout Project
  • Add option "Use library_files.txt"
  • Add button for $value$plusarg

vpi.dll
  • Add $sscanf
  • Fix bug of memory leak on $fread
  • Strengthen error check of $fgets
  • Fix bug on $fscanf in "\n" code.

Preprocessor
  • Address to `line
1.83A Nov.5.2005 GUI
  • Page Scroll :: entire length -> scrolling 1/8 length
  • Scope Tree View i/o/io -> blue color when variable is used
Simulation Engine
  • Fixed bug of bit length for evaluation in calling of net function
  • Fixed bug of 1.82 waveform view in array
  • Fixed bug of 1.82 net propagation of array for index
  • Fixed bug of $signed (index/partselected array)
  • Improvement of crush of invalid argument of array

1.82A Oct.31.2005 Simulation Engine
 Internal Architecture Changed.
  • Internal Array Structure chaned for faster simulation
  • Long Vector operations improvement for faster simulation
  • Function Inlining when NBA switch is used
  • support for 1234'dx 1234'dz 1234'd? (verilog 2001)
  • Fix EC=3958 Error (long vector NBA with delay statement)
1.79A Oct.12.2005 VPI.DLL
Add system function in Verilog 2001
  • $dist_normal
  • $dist_exponential
  • $dist_poisson
  • $dist_chi_square
  • $dist_t
  • $dist_erlang
  • $normal_vtak( Veritak Unique fuction same sa $dist_normal with real)
GUI
Readable maximum size of VCD File ->possibly upto 300MB on 2GB memory.

Simulation Engine
  • Support NamedBegin in VCD
  • Fix parsef crush in invalid index in array
  • A little bit faster access for index of array

1.77A Oct.6.2005 Simulation Engine
  • 1.76A Bug Fix
  • Simulation Speed improvement for Xilinx/Altera
1.76A Oct.4.2005 Simulation Engine
 Internal Architecture Changed.
  • Do implicit $dumpflush by $stop/$flush
  • Allow abbreviated form of port list when same port name is used.
  • Fix Bug of Optimize Level2
  • Net assign implementation is changed for following ModelSim  
  • Support "cpu time report" as an option
GUI
  • Add Cpu Timre report option on Project Setting
  • Add "Sort by Scope Name" on listview
  • Use different color when compilation error is detected

1.75A Sep.20.2005 Simulation Engine
  • $random =>return value with signed attribute
  • Fix memory leak bug of $fseek/$fflush/$fscanf/$ftell
1.74A Sep.13.2005 Simulation Engine
  • Add support of $fread,$fflush,$ftell,$fseek,$rewind,$write("%u")
  • Add source_relative path option
GUI
  • Add source_relative path option
  • Support "value" at each 1 bit. in ListView

1.73A Sep.9.2005 Simulation Engine
  • Fixed bug (1.71-  net optimize2)
  • Fixed bug (1.71-  trance mode2 crash)
  • Add NBA option (interim)
GUI
  • Add Sort by "IO"/NAME in Scope Listview
  • Enabeld Cursor-Drag 
  • Add NBA option

1.72A Aug.30.2005 Command Version Included in released package

Simulation Engine
  • Fixed bug in for-statement (In case of bit-select/part select included in initial/step statements)
1.71A Aug.17.2005 Simulation Engine
Command Version Released
  .
1.71A Aug.7.2005 GUI
  • waveform view internal design changed
  • Add lint option 2
  • Add net optimize 2
  • Save view as bitmap support
  • Listview sort improvement
  • timescale bug of vcd view fixed

Simulation Engine
  • tran/rtran/tranif0/tranif1/rtranif0/rtranif1 support
  • $sreadmemb/$sreadmemh support
  • Add Lint option 2
  • Add Net optimize 2 option
  • strength display support
  • Primitive gate name display bug fix
  • net optimize bug fix
  • Null-port bug fix

1.70A Jul.11.2005 GUI
  • WaveformView->Clipboard Copy/Print support
  • Add some GUI interface
Simulation Engine
  • Verilog-2001 HDL generate statement corner case bug fixed

1.69B Jul.7.2005 GUI
  • Fixed zoom hung-up at tool bar.
1.69A Jul.6.2005 GUI
  • Improvement of GUI
  • *.tf file is available as verilog source in project
Simulation Engine
  • Improvement of SDF parse(Actel SDF temperature)

VeriPad
  • Apply *.tf file as verilog source

1.68A Jul.4.2005 GUI
  • Improvement of GUI
  • SDF Min/Typ/Max Project Selection
Simulation Engine
  • Improvement of SDF parse

VeriPad
  • Fixed Bug of crash
1.64A Jun.17.2005 GUI
  • Improvement of Signal Addition from Scope Tree View to WaveformView
  • New mode for zoom capability on WaveformView
Simulation Engine
  • Add warning of implicit net in Lint Function
  • Add warning of backward reference in Lint Function
  • Improvement of error handling in undeclared net
Samples
  • veritak.bat slightly changed.

1.63B Jun.14.2005 GUI
  • Fixed Bug in Scope Display on VCD Viewer
  • "T2 Cursor Disappear" bug is fixed
  • Group Naming Function slightly changed
  • Define Definition is available in Project
  • Drag& Drop Project or Single File makes "Go" immediately
  • Fixed bug of Analog display w/o sign
  • Generate "veritak_command.txt"
Simulation Engine
  • Fixed bug in constant expression on Case Statement
  • Fixed bug of compiler crash when reading illegal decimal format
  • "Specify Section " spelling correction
  • Net Unary bug fixed
  • signed/signed compare bug fixed
Samples
  • Add "veritak.bat" as an example of batch operation in command switch mode.
  • Altera Gate Simulation addresses to Quartas 5.0

1.61A May.19.2005 Simulation Engine
  • Fixed Bug net signed bit extension for constant
  • Fixed Bug generate case default w/o statement caused crash
1.60A May.12.2005 Simulation Engine
  • Fixed Bug real operation in $strobe/$monitor
  • Fixed Bug contiguous comma in $display/$monitor  =>Make Space
  • Fixed Bug  %e in $display/$monitor/$fstrobe,,
  • Fixed Bug in no statement in begin-end/fork-join
  • Fixed Bug generate case
1.58A May.8.2005 Simulation Engine
  • Fixed bug lint :repeat calculation in concat operator
  • Improved ternary nesting
  • Fixed bug ternary ambiguous case and zz
math_vpi.dll
  • Improved $conv_hex2veri

1.57B May.1.2005 Simulation Engine
  • Fixed Bug of 1.57A
1.57A May.1.2005 Simulation Engine
  • Fixed Bug EC=3811 Error
  • Changed Evaluation of Zero-Pulse Edge
  • Eliminate unnecessary scratched signals in VCD
  • Fixed Bug $monitor timescale
1.56A Apr.25.2005 Simulation Engine
  • Fixed signed compare bug in procedural statement
  • Fixed udp bug in edge description
GUI
  • Fixed bug that tutorial can not be opened.

1.54A Apr.19.2005 Simulation Engine
  • Fixed bug unary in parameter real (cause crash)

math_vpi.dll
  • Change rungekutta interface
  • Fixed bug in linear system simulator concerning numerator coefficient
1.53A Apr.13.2005 Simulation Engine
 6-100times faster Backannotation than previous version's, in Xilinx simprims.
  • Improvement of SDF/Coregen compilation especially in large Xilinx Design
  • Address to Verilog-2001 style parameter [ +:][ -:]
  • Fixed bug in $printtimescale
  • Fixed bug in real value rounding
  • Fixed bug of runtime memory leak in corner case
  • Fixed bug for vcd hierarchy for certain parameter
GUI
  • Improvement of garbled characters in File Dialog
1.52D Apr.1.2005 GUI
  • Improvement of Marker Display

1.52A Mar.31.2005 Simulation Engine
  • Real Parameter corner case bug fix
GUI
  • Improvement of "do file" operation
  • Add support of "do file " for VCD
  • Add option for translator project
  • Add support of changing cursor time unit
Translator
  • Add option for generating file
Veripad
  • Add keyword for do file


1.51B Mar.22.2005 Simulation Engine
  • Add Warning that Specify Section is not supported.
  • Bug Fix multi-array/time/real/realtime declarations under Verilog-2001generate
  • Improvement in large design for Xilinx SDF
GUI
  • Fixed stack over flow error in very large design.
Translator
  • Add option to generate $conv...
  • Improvement for RANG/REVERSE_RANGE
  • Bug fix for subtype


1.50 Mar.14.2005 First English Version
Latest

Version 3.84F Build Jun.28.2011 Download 21MB






Release Note:

Unicode NotePad++ plugin104 Mar.29.2009 Build Download

Unicode NotePad++ plugin103 Jan.5.2009 Build Download
( Required for notepad++5.1/5.2 versions(Unicode) user.. Delete previous version of DLL if you place new DLL.)

ANSI NotePad++ plugin102Aug.4.2008 Build Download ( Required for ANSI notepad++ user.. Delete previous version of DLL if you place new DLL.)